中文
注册
我要评分
文档获取效率
文档正确性
内容完整性
文档易理解
在线提单
论坛求助
鲲鹏小智

开启LRO

原理

LRO(Large Receive Offload),通过将接收到的多个TCP数据聚合成一个大的数据包传递给网络协议栈处理,减少上层协议栈处理开销,提高系统接收TCP数据包的能力。

该特性在存在大量网络小包IO的情况下尤为明显。

修改方式

执行如下命令查看网卡LRO功能是否开启:

# ethtool -k $eth

开启网卡LRO功能:

# ethtool -K $eth lro on

开启LRO后,单个数据包的延时会增加,需结合业务综合评估是否需要开启。

搜索结果
找到“0”个结果

当前产品无相关内容

未找到相关内容,请尝试其他搜索词